首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

使用xilinx ISE(Webpack)4.1时用Modelsim XE4。1仿真的问题,急救!!!

看看FPGA型号有没有设置正确

使用xilinx ISE(Webpack)4.1时用Modelsim XE4。1仿真的问题,急救!!!

我在xilinx ISE(Webpack)4.1上面用xilinx本身的例子(ISEsamples目录下 vhdl)调用出来熟悉CPLD的开发流程(没有板子),综合通过了,而且显示正确;然后按照ISE的help上的步骤用modelsim仿真,很奇怪,第一项行为仿真通过了,显示正确,但第二项posit-fit仿真老通不过,提示什么。。。exit code :0005.请问高人怎么回事???????

我的Modelsim XE4.1安装时由于要联网才能注册,所以没有注册。但以后我用start->program->Modelsim XE->license wizard把一个破解的license.dat用上去了,也提示成功了。
`timescale
返回列表