首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: 开发

  版块 作者 回复/查看 最后发表
实用性指纹识别模块设计方案,提供软硬件参考设计(2) ARM yshc 2017-3-25 0 / 365 yshc 2017-3-25 17:58
攻进中小型公司市场 明导祭出低价PCB设计软体 模拟电路 yuchengze 2017-3-25 0 / 569 yuchengze 2017-3-25 15:27
如何在XPS中调用HDL语言编写的模块 嵌入式技术 zhouxinan 2017-3-24 0 / 338 zhouxinan 2017-3-24 20:51
此通用电路可以实现任意奇数分频电路 嵌入式技术 zhouxinan 2017-3-24 0 / 343 zhouxinan 2017-3-24 20:45
6 FPGA LX9 MicroBoard成为学习FPGA的另一低成本方法 嵌入式技术 zhouxinan 2017-3-24 0 / 324 zhouxinan 2017-3-24 20:42
基于System Generator的FPGA开发总结 嵌入式技术 zhouxinan 2017-3-24 0 / 228 zhouxinan 2017-3-24 20:30
深入分析verilog阻塞和非阻塞赋值 嵌入式技术 zhouxinan 2017-3-24 0 / 242 zhouxinan 2017-3-24 20:17
FPGA管脚分配时需注意的一些事项 嵌入式技术 zhouxinan 2017-3-24 0 / 311 zhouxinan 2017-3-24 20:16
展讯开发3G TD-SCDMA基带处理器 模拟电路 yuchengze 2017-3-24 0 / 422 yuchengze 2017-3-24 20:15
FPGA verilog实现的1602时钟计数器 嵌入式技术 zhouxinan 2017-3-24 0 / 404 zhouxinan 2017-3-24 20:09
Xilinx可编程逻辑器件设计与开发(基础篇)连载49:Spartan 嵌入式技术 zhouxinan 2017-3-24 0 / 309 zhouxinan 2017-3-24 19:51
通过模块之间的调用实现自顶向下的设计 嵌入式技术 zhouxinan 2017-3-24 0 / 272 zhouxinan 2017-3-24 19:46
FPGA开发技巧之同步复位与异步复位的理解 嵌入式技术 zhouxinan 2017-3-24 0 / 304 zhouxinan 2017-3-24 19:45
FPGA开发技巧之同步复位与异步复位的理解 嵌入式技术 zhouxinan 2017-3-24 0 / 359 zhouxinan 2017-3-24 19:44
选用CEVA DSP,展讯开发3G TD-SCDMA基带处理器 模拟电路 yuchengze 2017-3-24 0 / 401 yuchengze 2017-3-24 17:51
英飞凌XMC4300和XMC4800微控制器和认证开发套件加快EtherCAT应用实现 工业控制 bom2buy 2017-3-24 0 / 706 bom2buy 2017-3-24 17:47
目标设计平台使基于FPGA的系统开发易如反掌 模拟电路 yuchengze 2017-3-24 0 / 420 yuchengze 2017-3-24 17:24
基于片上多核系统的以太网接口的设计与实现 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 329 冰封 2017-3-23 22:48
NIOS II开发环境建立方法 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 358 冰封 2017-3-23 22:33
一种采用虚拟系统原型实现系统级的多内核系统调试方法 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 304 冰封 2017-3-23 22:09
基于GAL的BDM调试系统设计 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 289 冰封 2017-3-23 22:08
Stratix IV GT 100G开发板 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 565 冰封 2017-3-23 21:58
基于FPGA的电梯控制器的设计与实现 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 363 冰封 2017-3-23 21:47
基于NiosⅡ的1553B总线通讯模块设计与开发 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 370 冰封 2017-3-23 21:33
CY3280-22x45汽车级PSoC可编程片上系统开发套件 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 305 冰封 2017-3-23 20:50
基于FPGA的DDS IP核设计及仿真 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 648 冰封 2017-3-23 20:41
满足28nm迫切的低功耗需求之三 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 354 冰封 2017-3-23 20:32
NiosⅡ系统Avalon总线PWM设计 FPGA/CPLD可编程逻辑 冰封 2017-3-23 0 / 314 冰封 2017-3-23 19:58
便携式音频功率放大器的设计 模拟电路 yuyang911220 2017-3-23 0 / 371 yuyang911220 2017-3-23 18:43
PCB板级屏蔽腔及系统设计的开发介绍 模拟电路 yuyang911220 2017-3-23 0 / 359 yuyang911220 2017-3-23 17:25
基于MFC和OpenGL的喷泉模拟实现 模拟电路 yuyang911220 2017-3-23 0 / 293 yuyang911220 2017-3-23 17:00
基于多核DSP处理器DM8168的视频处理方法 模拟电路 yuyang911220 2017-3-23 0 / 270 yuyang911220 2017-3-23 15:27
基于SIP协议的语音网关开发设计 无线技术 yuyang911220 2017-3-23 0 / 378 yuyang911220 2017-3-23 11:50
ST MCU开发工具批量上架,正品直发! 电源与功率管理 掘金小分队 2017-3-23 0 / 525 掘金小分队 2017-3-23 11:37
12位串行A/D转换器的原理及应用开发 模拟电路 yuyang911220 2017-3-23 0 / 281 yuyang911220 2017-3-23 09:39
高速定点FFT算法的FPGA设计方案 FPGA/CPLD可编程逻辑 冰封 2017-3-22 0 / 386 冰封 2017-3-22 23:16
基于NiosⅡ嵌入式平台实现μC/GUI在便携式医疗监护仪上的移植 FPGA/CPLD可编程逻辑 冰封 2017-3-22 0 / 286 冰封 2017-3-22 22:44
借助MATLAB算法数学模型实现FPGA浮点定点转换 FPGA/CPLD可编程逻辑 冰封 2017-3-22 0 / 349 冰封 2017-3-22 21:50
从开发的角度看FPGA/DSP设计的区别 FPGA/CPLD可编程逻辑 冰封 2017-3-22 0 / 312 冰封 2017-3-22 21:35
基于CPLD的CMI编码的实现 FPGA/CPLD可编程逻辑 冰封 2017-3-22 0 / 322 冰封 2017-3-22 21:23