首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: vhdl

  版块 作者 回复/查看 最后发表
[求助]关于vhdl基础的文法 FPGA/CPLD可编程逻辑 yyt.dragon 2008-4-25 0 / 673 yyt.dragon 2008-4-25 13:18
RRS 滤波器 VHDL 实现 FPGA/CPLD可编程逻辑 isaacn 2008-4-22 1 / 641 isaacn 2008-4-22 23:29
vhdl Programming.by.Example FPGA/CPLD可编程逻辑 lyys1982 2008-4-22 0 / 716 lyys1982 2008-4-22 22:43
vhdl语言设计密码锁 FPGA/CPLD可编程逻辑 anycall36 2008-4-17 0 / 800 anycall36 2008-4-17 13:41
VHDL学习 FPGA/CPLD可编程逻辑 yyd 2008-4-16 1 / 740 flanix 2008-4-17 10:50
vhdl与数字电路设计 FPGA/CPLD可编程逻辑 fjl1983 2008-3-20 1 / 1097 yyd 2008-4-16 15:41
用vhdl写的基4FFT FPGA/CPLD可编程逻辑 xdzhangfan 2008-4-16 0 / 645 xdzhangfan 2008-4-16 08:44
一本很好的关于vhdl的书 FPGA/CPLD可编程逻辑 feigle 2008-2-29 3 / 1019 sunytseu 2008-4-14 18:06
[求助]不知是否有用VHDL编写数字密码锁的资料 FPGA/CPLD可编程逻辑 ani2 2008-4-13 1 / 1187 caopengly 2008-4-14 13:11
新人报到+北大vhdl教程 FPGA/CPLD可编程逻辑 allenzyx 2008-4-12 1 / 1028 caopengly 2008-4-13 11:04
如何用VHDL语言开发???? suifeng 2008-4-11 0 / 774 suifeng 2008-4-11 19:16
vhdl&verilog 问题 FPGA/CPLD可编程逻辑 zhuzhuqing 2008-4-7 2 / 926 flanix 2008-4-9 10:04
求浮点加法器的VHDL程序,谢谢了 FPGA/CPLD可编程逻辑 liantanfu 2008-4-8 0 / 523 liantanfu 2008-4-8 10:45
初学请教:点亮二极管的小程序,verilog或vhdl都可 FPGA/CPLD可编程逻辑 roundsea 2008-4-3 5 / 1293 stone133 2008-4-7 13:11
一种用VHDL设计嵌入式Web Server的方案 pengyoubieku 2008-4-5 3 / 847 pengyoubieku 2008-4-5 17:11
[求助]fir滤波器 vhdl 实现 FPGA/CPLD可编程逻辑 tylx 2008-4-2 2 / 979 tylx 2008-4-3 19:42
用vhdl/cpld设计电子密码锁 FPGA/CPLD可编程逻辑 xwxbear 2005-4-27 1 / 1558 fengyun308 2008-4-2 18:56
DE II 板子的vhdl程序代码 w_bingjian 2008-3-29 1 / 1064 kzw 2008-3-31 19:57
gh_vhdl_lib哪里可以下载? zhujun 2008-3-26 0 / 953 zhujun 2008-3-26 09:35
[分享]vhdl介绍 FPGA/CPLD可编程逻辑 夜瞳 2008-3-23 0 / 815 夜瞳 2008-3-23 20:59
急求VHDL英文书资料(毕业设计用) FPGA/CPLD可编程逻辑 枝上的蛤蟆 2008-3-20 4 / 1322 caopengly 2008-3-23 19:20
[求助]关于VHDL的书籍 FPGA/CPLD可编程逻辑 lzh_jlu 2008-3-21 0 / 689 lzh_jlu 2008-3-21 16:39
几个自己认为还可以的VHDL的例子 FPGA/CPLD可编程逻辑 二极管 2008-3-19 1 / 841 caopengly 2008-3-19 19:57
[求助]求基于FPGA交通灯控制VHDL源代码 FPGA/CPLD可编程逻辑 osxiong 2008-3-11 3 / 1400 osxiong 2008-3-19 15:49
VHDL语言学习小集 zanz84 2008-3-16 1 / 925 orangestone 2008-3-19 12:52
如何在用vhdl语言完成的数字时钟中添加星期和时区功能 FPGA/CPLD可编程逻辑 raul1984love 2006-3-7 4 / 1594 woshizl 2008-3-18 21:08
求VHDL实现数字锁相环 FPGA/CPLD可编程逻辑 justblue 2008-3-17 2 / 866 flanix 2008-3-17 17:15
VHDL小设计代码 FPGA/CPLD可编程逻辑 wwb624 2008-3-9 2 / 685 caopengly 2008-3-10 13:53
VHDL硬件描述语言 FPGA/CPLD可编程逻辑 xxuanfeng 2008-3-8 1 / 693 caopengly 2008-3-8 22:27
8259的VHDL,IP核 FPGA/CPLD可编程逻辑 shjh511 2007-4-24 7 / 1679 xiaolianmao 2008-3-7 11:16
在PLD开发中提高VHDL的综合质量 FPGA/CPLD可编程逻辑 caopengly 2008-3-6 1 / 705 caopengly 2008-3-6 11:44
徵VHDL的密碼鎖程式,請報價HKD*價錢請便宜一點,有一般密碼鎖功能也可* FPGA/CPLD可编程逻辑 chclman2000 2008-3-5 1 / 1039 caopengly 2008-3-5 11:10
SDRAM控制器的设备与VHDL实现 caopengly 2008-3-4 2 / 1114 admyygy 2008-3-5 09:45
请问verilog HDL和VHDL哪个更高级些? FPGA/CPLD可编程逻辑 flytosky 2008-2-26 2 / 1150 caopengly 2008-2-29 21:34
求vhdl编写:64位cpu读写16位存储器的控制程序。谢谢 FPGA/CPLD可编程逻辑 yhchen 2008-2-18 1 / 1164 caopengly 2008-2-19 14:56
[下载]VHDL语言的参考资料 ... 1 2 3 4 5 6 .. 11 FPGA/CPLD可编程逻辑 maoyongm 2007-4-24 155 / 16806 stll 2008-2-17 16:33
[分享]VHDL可综合设计 seekstar 2008-2-9 1 / 961 caopengly 2008-2-13 11:04
使用VHDL语言设计FPGA的几个常见问题的探讨 FPGA/CPLD可编程逻辑 caopengly 2008-2-5 1 / 2210 caopengly 2008-2-6 20:12
vhdl.Programming.by.Example中文版 peljh 2008-2-4 0 / 994 peljh 2008-2-4 15:15
[求助]求fsk 调制解调的vhdl设计原理 FPGA/CPLD可编程逻辑 winovs 2008-1-23 3 / 2990 caopengly 2008-1-24 17:27