Board logo

标题: 求vhdl完成个题,占空比可调。 [打印本页]

作者: z370765422    时间: 2009-11-18 14:17     标题: 求vhdl完成个题,占空比可调。

输入信号S,两个控制信号a,b。输出 a=0,b=0时s0=s,(0,1)s0=s 1/4,(1,0)s0=s 2/4, (1,1)s0=s 3/4.只变占空比跪求。用vhdl描述
作者: lxmaint    时间: 2009-12-23 11:16

感觉可简单可麻烦,简单的是如果S信号已知,比如周期、占空比,加上时钟,且题目对时序也没有要求,非常简单,如果就像题目那样不知道,则麻烦些,因为你得自己测出来




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0