Board logo

标题: ISE中IP的modelsim仿真 [打印本页]

作者: zhscumt    时间: 2009-11-20 22:48     标题: ISE中IP的modelsim仿真

我现在用ISE中的IP核做了一个加法器,在仿真的时候我先将modelsim的库进行了更新,然后再进行文件的仿真,编译也通过了,但是加法器的输出在波形中始终显示为高阻状态,请问各位大侠这是怎么回事?????

QQ:691593256  愿与大家一起探讨FPGA的开发问题(请注明“FPGA交流等字样”)




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0