Board logo

标题: 请教关于exemplar [打印本页]

作者: colorwolf    时间: 2005-5-12 10:44     标题: 请教关于exemplar

请教关于exemplar

语句是这样的:



library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library exemplar;
use exemplar.exemplar_1164.ALL;



。。。



attribute pin_number of nGCS1   : signal is "p8";



。。。



错误信息是这样的:



ERROR:HDLParsers:3317 - "D:/lllllllllll/cpld/test/123.vhd" Line 6.  Library exemplar cannot be found.
ERROR:HDLParsers:3013 - "D:/lllllllllll/cpld/test/123.vhd" Line 7. Library exemplar is not declared.
ERROR: XST failed




运行在ise webpack7.1,目标 xc9572。



请大虾解答谢谢。exemplar是什么库?是不是这个版本ise不带exemplar库呢?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0