Board logo

标题: 为SRAM创建一个Avalon tri-strate接口时遇到的问题 [打印本页]

作者: gongyue1000    时间: 2010-3-22 09:49     标题: 为SRAM创建一个Avalon tri-strate接口时遇到的问题

我用DE2的开发板,用Create New Component为SRAM创建一个Avalon tri-strate接口,data  width为16位,address  width为18位,其他还有byteenable_n ,chipselect_n ,read_n ,write_n  ,创建好添加到nios系统。另外nios系统还包括cpu ,Avalon Tri-Strate Bridge ,8bit的pio。
      生成系统,例化niosII处理器,地址端口是tri_state_bridge_0_address[18..0],问题是,创建元件时指定address  width为18位,这里为什么是从0到18共19位?
      这样,在引脚分配时,多出一位怎么分?因为DE2上SRAM的地址引脚是SRAM_ADDR[0]到SRAM_ADDR[17],只有18个?
      这是怎么回事?请高手说说。谢谢!
转自Tony嵌入式论坛,地址:http://www.cevx.com/bbs/thread-27294-1-1.html
作者: puocean    时间: 2010-3-26 15:17

tri_state_bridge_0_address[17..0] 才刚好18位
作者: tracy沈    时间: 2012-7-17 10:57

我公司是专业从事缓存芯片市场推广,代理的品牌来自美国,韩国以及台湾,产品种类有:
1.低功耗随机存储器 (Low Power SRAM):1M/2M/4M/8M/16M bit

2.高速静态随机存储器(High Speed SRAM):1M/2M/4M/8M bit  

3.伪静态随机存储器 (Pseudo SRAM):1M/2M/4M/8M bit

4.动态同步随机存储器(SDRAM):64M/128M/256M bit

电话:021-31166585/31166589/31166591

手机:曾勇(18221290095)




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0