Board logo

标题: 如何在modelsim中仿真ram [打印本页]

作者: zst221000    时间: 2010-3-28 22:36     标题: 如何在modelsim中仿真ram

我用的altera的芯片,quartus+modelsim,以前做过仿真ROM的,就是将mif文件通过quartus转换成hex文件,再修改modelsim的一个安装文件,不知道RAM的仿真是不是也是这么做
我把quartus中通过向导生成的RAM的.v文件拷贝到modelsim中不能用说是端口没有匹配,不知道还要拷贝那些文件到modelsim的工程文件夹下面,请大侠指点一下,谢谢了
作者: batigol1987    时间: 2010-6-16 13:43

ROM里的数据是你放在.HEX里的,RAM是写的。编写一个测试平台,在MODELSIM里仿真,前端弄个计数器,来控制你的读写地址~




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0