Board logo

标题: [求助]使用ISE时出现的问题 [打印本页]

作者: 50734325    时间: 2005-5-30 13:29     标题: [求助]使用ISE时出现的问题

我用ISE编译用vhdl写好程序时,ISE报“ERROR: VSim failed to simulate annotated testbench ”请问这是什么错误?谢谢
作者: boyfly    时间: 2005-6-14 10:39

你可以将你的源程序和测试程序发上来,或发给我,从表面看不出什么,肯定两者仿真时有什么对不上的地方




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0