Board logo

标题: cpld锁存器 [打印本页]

作者: bluesea_07@163.    时间: 2005-6-6 09:09     标题: cpld锁存器

我这里有一个cpld锁存器的程序,是我在书上看到的,可是会运行不出来呢 library ieee; use ieee.std_logic_1164.all; entity latch373 is port( d:in std_logic_vector(7 downto 0); oe,g:in std_logic; q0,q1,q2,q3,q4,q5,q6,q7ut std_logic --qut std_logic_vector(7 downto 0) ); end latch373; architecture rtl of latch373 is signal q_temp: std_logic_vector(7 downto 0); begin q0<=q_temp(0); q1<=q_temp(1); q2<=q_temp(2); q3<=q_temp(3); q4<=q_temp(4); q5<=q_temp(5); q6<=q_temp(6); q7<=q_temp(7); process(oe,g,d) begin if(oe='0')then if(g='1')then q_temp<=d; end if; else q_temp<="ZZZZZZZZ";%Z的大小写报的错误不一样;想知道为什么; end if; end process; end rtl; Z的大小写报的错误不一样;想知道为什么; 哪位可以告诉阿,急用!
作者: luohao    时间: 2005-6-6 11:58

这是哪本书上写的破程序?
作者: bluesea_07@163.    时间: 2005-6-6 14:04

我的QQ是54466146,请多指教
作者: gex    时间: 2005-6-7 11:36

Z必须用大写,表示高阻状态.
作者: bluesea_07@163.    时间: 2005-6-9 10:30

谢谢阿,还有一个问题,我知道altera的芯片可以接混合电压,那44脚的芯片可以吗




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0