Board logo

标题: 关于VHDL组件的几个问题 [打印本页]

作者: buffaloiron    时间: 2005-7-20 22:04     标题: 关于VHDL组件的几个问题

[em13]
在下有一个关于组件的小程序.但不知道为什么总是编译有错误.
在下认为可能是库里面没有这个组件.但不知道怎么对不对.
请高人指点

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
--************************************
ENTITY  VHDl2 is
        port( A: in STD_LOGIC;
              B: in STD_LOGIC;
              C: in STD_LOGIC;
              Z: out STD_LOGIC
             );
END VHDL2;
--************************************


ARCHITECTURE VHDL2_E OF VHDL2 is
   SIGNAL  D,E : STD_LOGIC;
   component INV port(I,H: in STD_LOGIC;  O: out STD_LOGIC);END component;
   component AND2 port(I0,H0: in STD_LOGIC;  O: out STD_LOGIC);END component;
   component OR2 port(I1,H1: in STD_LOGIC;  O: out STD_LOGIC);END component;
BEGIN
  U1: AND2 port map (A,B,D);
  U2: INV port map(B,E);
  U3: or2 port map(D,E,Z);
        
end VHDL2_E;
作者: fenglouto    时间: 2005-7-21 02:26

INV不知道是你自己定义的还是怎么的.没有自带的AND2这个模,只有and.
这么简单的门不要例化嘛,and就可以了.
作者: buffaloiron    时间: 2005-7-21 17:19

嗯.偶觉得也可能是库里面没定义.但书上明明这么写着.偶是想运用一下例化.没想到这么简单的东东也出错了.谢谢版主
作者: buffaloiron    时间: 2005-7-21 17:22

偶还想问一个就是怎么运用自定义的组件呢?[upload=gif]uploadImages/2005721172942.gif[/upload]




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0