我正在作动态扫描,我分块做的,做了一个8进制计数器,一个7段译码器,compiler都通过了,本来想连接试一下,后来想想检查一下wave再连把,可就是这样不知道为什么8进制计数器的端口让我莫名其妙,我的程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
entity counter_8 is
port(clk:in std_logic;
count:buffer std_logic_vector(2 downto 0));
end counter_8;
architecture behave of counter_8 is
begin
p1:process
begin
wait until clk'event and clk='1';
if(count<="111")then
count<="000";
else count<=count+1;
end if;
end process p1;
end behave;
检查波形时我enter nodes from snf出现了2个limp_add_sub....的端口,搞得人莫名其妙,还有就是wave里面count为已知,而count为未知,我不是太明白.