Board logo

标题: 求FPGA与键盘接口的VHDL/Verilog程序! [打印本页]

作者: bjxiong    时间: 2005-10-9 14:16     标题: 求FPGA与键盘接口的VHDL/Verilog程序!

现在在做一块板子,键盘接到FPGA上。刚开始接触FPGA,编程不太懂。谢谢!
我的键盘是4*4,再额外加一个。
作者: colorjun    时间: 2005-10-9 20:48

看你是用静态的还是矩阵扫描的,扫描的比较麻烦点点。
作者: bjxiong    时间: 2005-10-10 11:30

哦!您能不能给我说说用什么的比较好,静态的 有什么弊端吗?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0