Board logo

标题: 求助一个fpga的问题 [打印本页]

作者: vincent    时间: 2005-10-11 14:03     标题: 求助一个fpga的问题

小弟我现在作后期验证,希望从pc向fpga发送数据来作pci模块验证
哪位大哥帮帮小弟,应该如何实现从pc向fpga试验版发送数据啊?
作者: 1001    时间: 2005-10-11 14:05

一个软件:WinDriver
通过该工具软件,可以查看
   - 是否找到你设计的PCI卡?
   - PCI卡的信息
   - 模拟I/O Read / Write
   - 模拟Memory Read / Write
作者: wangjunhu    时间: 2005-11-2 14:41     标题: 用串口可以瓦?

可以用一些串口调试工具发给FPGA,当然FPGA里面应该有这个模块。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0