Board logo

标题: 求用VHDL实现的单稳态触发器程序 [打印本页]

作者: 陈默然    时间: 2005-10-27 08:25     标题: 求用VHDL实现的单稳态触发器程序

怎样用VHDL实现单稳态触发器的功能,例如MC14528。请教各位高手,救命用的啊!!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0