Board logo

标题: [求助]指定综合片内ram的问题,转贴 [打印本页]

作者: nwang    时间: 2005-11-9 15:55     标题: [求助]指定综合片内ram的问题,转贴

我的一个设计中占有了太多的lut,现在想好好研究一下如何指定综合成片内ram资源。
来实现优化一下。
谁这个方面有经验,请指教。

看synlify的帮助了,它好像要先描述成ram的风格,才可以综合成ram。
我程序中有一个非常大的移位寄存器,用来存储采样以后的数据,我想把它综合成片内ram,不知道该怎么办。
试过了,constraint里面的syn_ramstyle选项,好像更本没有什么用处。

还有,今天偶尔看到一个地方写到XST可以强制综合成ram的。
程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity logic_bram is
        port (clk,rst : in std_logic;
                a,b,c,d : in unsigned(7 downto 0);
                res1,res2 : out unsigned(7 downto 0)
        );
end logic_bram;

architecture behav of logic_bram is
begin
        process(clk)
                begin
                        if rising_edge(clk) then
                                if (rst='1') then
                                        res1 <= "00000000" ;
                                        res2 <= "00000000" ;
                                else
                                        res1 <= a + b;
                                        res2 <= c + d;
                                end if;
                         end if;
                 end process;
end behav;

书中在end logic_bram前面加上:
attribute bram_map:string;
attribute bram_map of logic_bram:entity is "yes";
就可以这两个8位加法器放入到块存储器中了。

请问,假如在synplify里面,如何得到同样的效果。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0