Board logo

标题: 帮忙看下这个程序 [打印本页]

作者: wz1981    时间: 2005-11-14 20:31     标题: 帮忙看下这个程序

这段代码编译警告din输入的问题,谁能告速我怎么解决?

library ieee;
use ieee.std_logic_1164.all;
entity sreg8b is
port (clk: in std_logic;
     load: in std_logic;
      din: in std_logic_vector(7 downto 0);
       qb: out std_logic);
end sreg8b;
architecture behav of sreg8b is
    signal reg8: std_logic_vector(7 downto 0);
begin
    process (clk,load)
    begin
       if clk'event and clk='1' then
         if load='1' then reg8<=din;
           else reg8(6 downto 0)<=reg8(7 downto 0);
         end if;
       end if;
   end process;
   qb<=reg8(0);
end behav;


作者: boyfly    时间: 2005-11-15 09:00

不会是输入吧,你中间 reg8(6 downto 0)<=reg8(7 downto 0),两边的数据宽度不对,应该reg8(6 downto 0)<=reg8(7 downto 1);吧
作者: wz1981    时间: 2005-11-15 11:53

谢谢! 这个问题解决的.是我手痴了. : )




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0