Board logo

标题: 这个警告怎么解决? [打印本页]

作者: wz1981    时间: 2005-11-15 23:09     标题: 这个警告怎么解决?

library ieee;
use ieee.std_logic_1164.all;
entity notetabs is
port (clk: in std_logic;
toneindex: out integer range 0 to 15);
end;
architecture one of notetabs is
   signal counter: integer range 0 to 3;
begin
cnt8:process(clk)
 begin
  if counter=3 then counter<=0;
elsif (clk'event and clk='1') then counter<=counter+1;
end if;
end process;
search : process(counter)
begin
case counter is
when 00 =>toneindex<=3;
when 01 =>toneindex<=3;
when 02 =>toneindex<=3;
when 03 =>toneindex<=3;
end case;
end process;
end;

警告:Ignored unnecessary INPUT pin 'clk'

怎么解决?有影响吗?

 


作者: jjkjjk    时间: 2005-11-30 12:49

你的CLK 给优化了
作者: coollight    时间: 2005-12-1 08:49

jjkjjk你是什么意思?能说的明白点吗?
作者: cccdq2    时间: 2005-12-14 15:16

你的程序是不是有问题,怎么编译得你的clk信号不需要的结果?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0