Board logo

标题: 从SDRAM里面读数据 [打印本页]

作者: gerry1512    时间: 2012-3-5 20:31     标题: 从SDRAM里面读数据

FPGA里面写了一个模块A,它的输出端口Dout连接到外部SDRAM芯片的数据线DQ上,负责往SDRAM里面写数据。

还写了一个模块B,也在FPGA里面,它的输入端口Din也连接到SDRAM的DQ上,负责从SDRAM里面读数据。

现在的问题是,当Din从SDRAM里面读数据的时候,Dout也在输出数据,虽然这时的数据是无效的,但是它和SDRAM的引脚DQ出来的数据造成冲突了

本来想在Dout和Din之间加一个三态门,但是发现FPGA内部没有三态门

这里该怎么处理?





欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0