Board logo

标题: 问同步串口与异步串口用cpld怎么转换 [打印本页]

作者: 基洛级    时间: 2005-12-8 12:42     标题: 问同步串口与异步串口用cpld怎么转换

问同步串口与异步串口用cpld怎么转换

哪位高手可以指点一下 用什么样的思路?

我是一个新手,刚刚接触vhdl语言和cpld!

还有我刚作了一个关于时钟的计数器程序,但是在进行仿真时,结果总是与预期的不同!

以下是计数器的程序:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt2 is
port (
clk: in std_logic;
outp0,outp1ut std_logic
);
end cnt2;
architecture bh of cnt2 is
begin
process (clk)
variable sum:std_logic_vector(1 downto 0);
begin
if rising_edge(clk)then
sum:=sum+'1';
outp0<=sum(0);
outp1<=sum(1);
if sum=4 then
sum:="00";
end if;
end if;
end process;
end bh;

哪位高手给看一下!是程序的问题还是别的问题!十分感谢!!!!!






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0