library ieee; -- IEEE标准库
use ieee.std_logic_1164.all; --调用库中的程序包
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--------------------------------实体说明------------------------------
entity LED_light is --LED_light 是实体名
port(LED : out std_logic); --定义输出端口
end LED_light;
-------------------------------结构体说明-----------------------------
architecture behav of LED_light is --behav 是结构体名
begin LED<="1"; --点亮LED
end behav;