Board logo

标题: MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP [打印本页]

作者: alywen    时间: 2006-1-19 10:29     标题: MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP symbol

在调试fpga时,出现了如下错误,原因是把IBUFG分配给了普通的IO,晴高手指点,如何把普通IO用作GCLK。我的芯片是:XCV600E,编译环境ISE5.2I


MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP symbol
   "clk_bufgp" (output signal=clk_bufgp), IPAD-IBUFG should only be LOCed to
   GCLKIOB site.


作者: skyeasing    时间: 2006-1-19 16:32

普通IO不能作为IBUFG的输入。你用IBUF代替IBUFG就可以了,然后如果想走到BUFG上,就在后面再例化一个BUFG




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0