Board logo

标题: 有个问题请教大家 [打印本页]

作者: hnzqw2002@163.c    时间: 2003-7-5 22:31     标题: 我不认同

VHDL的仿真一般都用MAX+PLUS2呀,modelsim也可以。但好像用max+plus2更加普遍诶。
老兄,你用什么放真vhdl呀,你用vhdl还是verilog?
作者: zqwu    时间: 2003-7-7 19:25

maxplus ii是不行,我做了一个VHDL设计的数字信号处理单元,编译出问题,但是在quartus上可以通过,
作者: mjianghong@163.    时间: 2003-8-7 08:35

楼上这位大侠,你的quartus是什么版本的,我下载的是3.0版本的,可一直都找不到它的license,能不能帮个忙,给个license文件啊?
mjianghong@163.com
叩谢了!
作者: enmingli@163.co    时间: 2003-8-7 12:54

还是,Active HDL仿真好。MAX不支持功能仿真,所以那些时序语句都不支持。
作者: zxh790822@163.c    时间: 2003-8-9 21:58

我一直用MAX+PLUS2啊,用VHDL也很好啊,一些如果不能仿真,那就用modelsim好了,那可以仿真延时的!
作者: aaa    时间: 2003-8-10 08:39

其他综合工具也不支持after 3ns
作者: hnzqw2002@163.c    时间: 2003-8-21 16:02     标题: 有个问题请教大家

在MAX+plus2中,有哪些VHDL语法不能仿真?
例如generic;after 3ns;好像编译通不过.
作者: nxbobo@21cn.com    时间: 2003-8-21 16:02

其实每个软件都不是全部兼容的,同样程序可能在
各种软件效果就不一样,我用过的maxplus,ise,ide,synoplify
同样程序编译结果很多不同,要求各有不同,不过还是synoplify编译效果最贴近ic,
maxplus好像要求最宽松!选用阿actel的cpld最实用,
但是ide用起来太烦了,编译一次要半小时!
软件也不好装!其他什么软件都能找到,不过我一直没找到解密的ide软件




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0