Board logo

标题: testbench中inout类型端口的处理方式 [打印本页]

作者: rise_ming    时间: 2012-4-27 23:10     标题: testbench中inout类型端口的处理方式

测试平台中需要声明与待测模块输入输出端口对应的变量。与输入端口相连接的变量定义为reg,与输出端口相连接的变量定义为wire。双向端口inout在测试中需要进行简单的处理。方法如下:
        为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout端口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。
eg:
inout [0:0]  bi_dir_port;
在testbench中处理方式如下:
wire  [0:0]  bi_dir_port;
reg   [0:0]  bi_dir_port_reg;
reg   bi_dir_port_oe;
assign bi_dir_port=bi_dir_port_oe?bi_dir_port_reg:1'bz;

用bi_dir_port_oe控制端口数据方向,并利用中间变量寄存器改变其值。等于两个模块之间用inout双向口互连。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0