Board logo

标题: VHDL問題一則 [打印本页]

作者: Louis.K    时间: 2006-3-5 19:44     标题: VHDL問題一則

 
各位好:

有事請教, 以下的語法, 照書編寫. 但在QuatrussII編號後會不執行其他的波形, 只執行第一個. 請指教

Dout<='0','1' after 20 ns, '0' after 40 ns


Dout 是signal 型


QuatrusII是否不支持此語句?


 


作者: fenglouto    时间: 2006-3-6 13:07

没有见过这种写法,不知道支持不支持?如果你确定这样写不能达到你的效果,何不换种可以的写法呢?
作者: Louis.K    时间: 2006-3-6 13:46

多謝版主的回覆, 以上的碼有很多書都會.

請問我想要: 先輸出一個值, 經過延時後再輸出第二個值有沒有其他的方法?

希望得到指教.

謝謝
作者: waterlily    时间: 2006-3-6 16:25

做个计数器,用状态跳转可以延迟时钟周期的整数倍
作者: Louis.K    时间: 2006-3-6 18:10

不錯, 已完成了, 多謝指教




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0