Board logo

标题: 请问在如何把16位的数字量在CPLD的控制下写入RAM [打印本页]

作者: ecnanjing    时间: 2003-7-31 09:18

用状态机读出16位的数字量,再写入RAM.
请学习VHDL语言。
作者: shirleydp    时间: 2003-7-31 16:16

我有2片RAM(HM628128),一片写高8位,一片写低8位,我现在不明白怎么产生地址(17位),怎么把16位的数据写进去,怎么选通地址单元,这3个东西怎么通过CPLD来实现,我会一点VHDL。麻烦你说的详细一点,我不太明白。谢谢您
作者: yaoy_wanglt@eyo    时间: 2003-7-31 21:35

我做过用cpld控制sram的项目,就是实现分页存储的功能,在弹片机的rom里拿出一个单元存储页码地址就可以的,不麻烦
作者: shirleydp    时间: 2003-8-3 08:45

可以说明白一点吗?我不是很清楚
作者: shirleydp    时间: 2003-8-10 20:46     标题: 请问在如何把16位的数字量在CPLD的控制下写入RAM

请问在如何把16位的数字量在CPLD的控制下写入RAM




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0