Board logo

标题: 请问17位的二进制计数器怎么产生? [打印本页]

作者: brave5@vip.sina    时间: 2003-8-1 19:53

一个最简单的示例: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter17 is port(clk:in std_logic; q:buffer std_logic_vector(16 downto 0) ); end counter17; architecture behave of counter17 is begin process(clk) begin if clk'event and clk='1' then q<=q+1; end if; end process; end behave; 建议你多看看VHDL的书
作者: shirleydp    时间: 2003-8-1 19:53     标题: 请问17位的二进制计数器怎么产生?

请问17位的二进制计数器怎么产生?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0