Board logo

标题: [求助]正玄发生器波形怎么反了? [打印本页]

作者: lig82    时间: 2006-5-3 08:58     标题: [求助]正玄发生器波形怎么反了?



我做了个正玄发生器,在QuartusII中编译下载到Cyclone EP1C6Q240C8中后,使用QuartusII中的SignalTap II工具观察波形时,却发现波形上下颠倒了。我的源代码很简单,data_rom是使用LPM_ROM产生的,mif文件中的64个数据也没有错误。请问这是为什么,哪里出了问题?

源码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity singt is
port( clk : in std_logic;
          dout : out std_logic_vector(7 downto 0));
end;
architecture dacc of singt is
       
        component data_rom
        port( address : in std_logic_vector( 5 downto 0);
                inclock : in std_logic;
                q : out std_logic_vector(7 downto 0));
        end component;
       
        signal q1 : std_logic_vector(5 downto 0);
       
        begin
        process(clk)
        begin
                if clk'event and clk='1' then
                        q1<=q1+1;
                end if;
        end process;
       
        u1 : data_rom port map(address=>q1,q=>dout,inclock=>clk);

end;
在SignalTapII中的波形图:


 



 


作者: legendbb    时间: 2006-5-3 19:42

若非LUT数据有问题,就是起始相位没有锁住,可以看看SignalTap II的触发条件。
只是好奇:正弦波上下反了,有什么问题?
作者: legendbb    时间: 2006-5-3 19:49

如果你的上下颠倒不是翻转的话,还有一种可能就是,你的数据是二进制补码,而你的DAC是Single Ended的,这样的话你需要把MSB反转过来。
作者: UseNios    时间: 2006-5-6 17:34

确实有可能是编码的问题
作者: stone133    时间: 2006-5-8 09:07

上下颠倒也就是相位差了180度;
mif文件中的64个数据和地址是你期待的关系吗?最好仿真一下看看





欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0