Board logo

标题: 求教:在maxplus 2中编写vhdl程序,怎样调用已存在的元件阿? [打印本页]

作者: boyfly    时间: 2004-5-25 17:26

哦,你首先要编译底层文件,然后才能在顶层文件中调用!
作者: xaxjh@sina.com    时间: 2004-5-25 18:01     标题: 求教:在maxplus 2中编写vhdl程序,怎样调用已存在的元件阿?

求教:在maxplus 2中编写vhdl程序,怎样调用已存在的元件阿?
请问各位高手:
我在在maxplus 2中编写vhdl程序,怎样调用已存在的元件阿?
列如
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY F_ADDER IS
PORT (AIN ,BIN,CIN: IN STD_LOGIC;
COUT , SUM : OUT STD_LOGIC);
END ENTITY F_ADDER;
ARCHITECTURE THREE OF F_ADDER IS
BEGIN
COMPONENT H_ADDER
PORT (A, B: IN STD_LOGIC;
C0,S0: OUT STD_LOGIC);
END COMPONENT;
COMPONENT OR2
PORT(A,B: IN STD_LOGIC;
C: OUT STD_LOGIC);
END COMPONENT;
SIGNAL D,E,F: STD_LOGIC;
BEGIN
U1:H_ADDER PORT MAP(A=>AIN,B=>BIN,C0=>D,S0=>E);
U2:H_ADDER PORT MAP(A=>E, B=>CIN,C0=>F,S0=>SUM);
U3: OR2 PORT MAP(A=>D, B=>F ,C=>COUT);
END ARCHITECTURE THREE;

调用了两个元件,单编译不通,不知道在哪里申明或者包含这两个元件。
请各位大虾不吝赐教!!!
作者: blackwall    时间: 2004-5-25 18:01

对啊 .并且要吧底层文件和顶层文件放在同一目录下.




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0