Board logo

标题: 怎样实现在两个Process中对同一个信号赋值。 [打印本页]

作者: owlpro    时间: 2003-8-20 19:59

不能
作者: hxxfff    时间: 2004-5-29 18:37

假如我想在clk得上升沿到时赋值,下降沿时也赋值,怎么实现,同一个进程?
作者: yellowfall    时间: 2004-5-30 12:31

不能同时用上升沿和下降沿
可以在两个Process用信号变量,然后在复值
作者: hxxfff    时间: 2004-6-7 17:12

多谢!
作者: zhangkun    时间: 2004-6-10 14:17

一种方法是通过移项、异或将时钟的上升沿与下降沿都转为另一时钟的上升沿
作者: ljp    时间: 2004-7-17 21:27     标题: 怎样实现在两个Process中对同一个信号赋值。

怎样实现在两个Process中对同一个信号赋值。
作者: latin    时间: 2004-7-17 21:27

@(posedge clear or negedge reset)无论是上升还是下降都能发生




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0