Board logo

标题: 我想在两个输入信号的上升沿对同一信号赋值,该怎么办? [打印本页]

作者: silverwolf    时间: 2002-11-18 13:49

Protel99入门与提高》RMB:45.00
Protel99电路设计实用指南》 人民邮电出版社 2000年7月SBN 7-115-08554 RMB:31.00
Protel99SE电路设计技术入门与应用》李东生等编著。出版日期:2002年2月,330页。邮购价:37.00元。
作者: silverwolf    时间: 2002-11-18 13:51

Or you can view the below htm file:
http://www.cadpro.com.cn/user%20c%20d/kuaisurumen.htm
作者: marximzbg    时间: 2002-11-18 14:33

谢谢总版主!
作者: yuppie    时间: 2003-4-17 13:40

请问斑竹,怎么使用protell的自动生成pcb,还有怎么用它的模拟功能啊?
作者: Bright    时间: 2003-4-21 17:10

在sch中建立网表,然后在pcb文件中导入网表、封装就行了。
作者: 杜运峰    时间: 2003-4-22 08:53

请问怎么自动布线?
作者: long    时间: 2003-8-21 14:57

不可能。               
只能想想等效或变通的手段,说说你的具体要求。
作者: samire    时间: 2003-8-21 18:08

比如说在第一个信号的上升沿赋值,在另外一个信号的上升沿请零,该怎么办,谢谢!
作者: 谢文志    时间: 2003-8-21 20:53

把后一个信号转换为一个脉冲信号,作为同步或异步清零
作者: hstaii@163.net    时间: 2003-8-22 09:06

毛毛雨,简单拉,把这两个信号做成一个state machine, 再由state machine 来控制。
作者: qin    时间: 2003-8-22 10:03

1 使用状态机是个好方法。               
2 也可以使用其他方法的。呵呵。
作者: samire    时间: 2003-8-22 11:27

在原理图编辑的时候,怎么才能实现从两个引脚输入到同一个信号上呢?       
在编译的时候的出错信息为:the signal have multiple drives.
作者: long    时间: 2003-8-22 15:23

几乎是不可能!       
很多错误都是因为在两个进程里对同一个信号赋值产生的。你可以把两个信号同时加到一个进程的条件中去。
作者: samire    时间: 2003-8-23 14:53

用变通的方法,可否?
作者: hstaii@163.net    时间: 2003-8-24 11:06

先把2个输入信号合并成一个,比如你把需要用的第一个信号的上升沿和第二个信号的上升沿合成一个先上升再下降的信号,然后可以用这个信号(的上升沿和下降沿)作为敏感信号了。
作者: samire    时间: 2003-8-24 11:17

上升沿下降沿同时触发好像比较困难阿,高人们教教我吧
作者: long    时间: 2003-8-25 09:06

根据你的说法,给你做了 library ieee; use ieee.std_logic_1164.all; entity dp is port (one,zero:in std_logic; output:buffer std_logic); end; architecture arch of dp is signal clk:std_logic; signal cho:std_logic; begin p1:process begin if cho='0' then clk<=one; else clk<=zero; end if; end process p1; p2:process(clk) begin if rising_edge(clk) then cho<=not cho; end if; end process p2; output<=cho; end arch;
作者: hstaii@163.net    时间: 2003-8-25 09:13

用状态机可以很好的解决呀
作者: samire    时间: 2003-8-25 09:47     标题: 我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

我想在两个输入信号的上升沿对同一信号赋值,该怎么办呀。
作者: flckk@sina.com    时间: 2003-12-20 00:21

PCAD的LAYOUT功能比起PROTEL ,POWER PCB更方便易学。
作者: flckk@sina.com    时间: 2003-12-20 00:34

画好SCH,点击菜单的DESIGN/UPDATE PCB 弹出界面,默认里面的选项,选击PREVIEW CHANGE 预缆是否错误,没错误报告就可以击EXECUTE。这时你的问题就解决了。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0