Board logo

标题: [求助]用vhdl怎么编写EPROM 高手请进 急!!!!!!-->silverwolf7516转移 [打印本页]

作者: vipcoco00    时间: 2006-6-1 11:33     标题: [求助]用vhdl怎么编写EPROM 高手请进 急!!!!!!-->silverwolf7516转移

这个EPROM的功能是:     寻址     编写几个地址(2进制)   每个地址都有自己的内容    外面有信号进入   看看信号与那个地址一样  然后再读出这个地址的内容   希望高手能个几个例子     比如输入1101     1101(0011)   输出0011
作者: vipcoco00    时间: 2006-6-1 11:37

我的邮箱是liuxinghun008@163.com
作者: linuxarm    时间: 2006-6-1 14:26

这个你最好到FPGA的板块去问问...
作者: silverwolf7516    时间: 2006-6-1 14:30

对阿!我给你转移了吧
作者: stone133    时间: 2006-6-1 18:24

如果地址范围不是很大,用case就可以实现,
如果比较大,建议使用片内的ram:
1.地址内的内容固定,可以使用单口ram
2.地址内的内容需要改变,可以使用双口ram
作者: vipcoco00    时间: 2006-6-1 22:57

fpga板块???  能给几个例子不?rom 不行吗  这个不要求写入吧?  只读就行吧
作者: stone133    时间: 2006-6-2 09:55

这里就是fpga板块;
rom可以;
你不写入,rom里面的内容从哪里来呢?
case(外面输入的信号)
地址1:内容1输出;
地址2:内容2输出;
地址3:内容3输出;



endcase

作者: anotherchen    时间: 2006-6-2 17:10

我觉得在cpld里面实现rom,要占用好多宏单元
剩下的只能做简单的逻辑了
很不划算
作者: vipcoco00    时间: 2006-6-5 09:40

谢谢大家了




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0