Board logo

标题: CPLD的口双向性可以解决吗? [打印本页]

作者: duxiaoqing@21cn    时间: 2003-9-4 16:56

当然可以解决
作者: halberd    时间: 2003-9-8 10:05

CPLD的器件接口引脚本身就支持双向口呀
作者: fybao2000@163.c    时间: 2004-5-25 16:55     标题: CPLD的口双向性可以解决吗?

[move][fly][/fly][/move]
    大家好!!!以后请多多指教!!!
作者: boyfly    时间: 2004-5-25 16:55

你上www.fpga.com.cn上有关双向口,和三态的例子!看后就明白了!




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0