Board logo

标题: 急求8位ROM的VHDL程序! [打印本页]

作者: smallfisher    时间: 2006-6-8 14:38     标题: 急求8位ROM的VHDL程序!

如果有测试文件最好啦


先谢谢各位大侠了


我邮箱yutao06@sohu.com


作者: huahuayu    时间: 2006-6-28 23:36

给你一个简单的 希望对你有帮助

Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;


Entity Rams is
Port (
Writeen : in std_logic;
Wclk : in std_logic;
Datain : in std_logic_vector(7 downto 0);
Dataout : out std_logic_vector(7 downto 0);
Addr : in std_logic_vector(3 downto 0)
);
END Rams;-- Entity Ends

Architecture Behave of Rams is
Type Mem is array ( 15 downto 0) of std_logic_vector( 7 downto 0);
Signal Memory : Mem;

Begin
Write_Process : Process(Wclk)
Begin
if (Wclk'event and Wclk = '1') then
if ( Writeen = '1') then
Memory(Conv_Integer(Addr)) <= Datain;
end if;
end if;
end process; -- Write Process Ends

Dataout <= Memory(Conv_Integer(Addr));

End Behave;-- Architecture Ends
作者: gjshi188@163.co    时间: 2006-6-30 21:10

楼上和
“ISE的IP CORE里面不是有吗?
你只要把他的输入按他时序写好就行了,在生成的过程中,他的DATASHEET里面有详细的介绍“
的实现方法一样么?如果不一样区别是什么?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0