Board logo

标题: 我是一个新手,碰到一个非常菜的问题。 [打印本页]

作者: sunds99    时间: 2003-8-30 08:20

它不支持TIME类型的参数.MAXP不支持AFTER的,去掉它或用其它软件吧
作者: wangz-1@sohu.co    时间: 2003-9-1 14:35

maxplus不能综合after 类型,
作者: 谢文志    时间: 2003-9-1 14:35     标题: 我是一个新手,碰到一个非常菜的问题。

我是一个新手,碰到一个非常菜的问题。 我在MAXPLUS II 中用vhdl写了下面的小程序,可是在save and check时,却提示这样 的错误:Unsupported feature error:Generic of this type is not supported 程序如下: library ieee; use ieee.std_logic_1164.all; entity pgbuf1 is Generic( TRISE=1 ns; TFALL=1 ns ); port ( a0 : in std_logic; z0 : out std_logic ); end pgbuf1; architecture behav of pgbuf1 is begin process(a0) variable zdf : std_logic; begin zdf := a0; if zdf = '1'then z0 <= transport zdf after TRISE; elsif zdf = '0' then z0<=transport zdf after TFALL; else z0<=transport zdf; end if; end process; end behav;




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0