Board logo

标题: VHDL设计D触发器,一个很简单的问题,但总是出错 [打印本页]

作者: lujia_1975@163.    时间: 2003-9-1 11:20

你的这段程序既不是D触发器,也不是T触发器
你把两者搞反了
作者: erra168@sina.co    时间: 2003-9-1 11:55

不好意思,是T触发器
作者: erra168@sina.co    时间: 2003-9-1 15:04     标题: VHDL设计D触发器,一个很简单的问题,但总是出错

这是卢毅写的《VHDL与数字电路设计》书中的程序,代码如下: LIBRARY IEEE; USE... --******* ENTITY DCHIP IS PORT( CP:IN STD_LOGIC; Q:OUT STD_LOGIC); --******** ARCHITECTURE A OF DCHIP IS SIGNAL QN:STD_LOGIC; BEGIN PROCESS(CP) BEGIN IF CP'EVENT AND CP='1' THEN QN<=NOT QN; END IF; END PROCESS; Q<=QN; END A; 这段程序,其实一看就能看出问题来,因为QN没有初始值,仿真结果也证明了这段程序的问题。但是通过很多方法来设置QN的初始值,总是不对。请各位大虾指教一下本人,谢谢。
作者: lujia_1975@163.    时间: 2003-9-1 15:04

你再仿真一下,我好象没有这个问题呀.是不是你的编译器的问题呀.
作者: 123456    时间: 2005-6-3 23:38

好象没有输入端哦
作者: hexuguang    时间: 2005-7-21 23:54

实体说明部分没结束end entity
作者: hexuguang    时间: 2005-7-21 23:55

实体说明部分没结束end entity




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0