Board logo

标题: 用CPLD实现同步串口和异步串口转换的功能 [打印本页]

作者: 基洛级    时间: 2006-8-18 20:35     标题: 用CPLD实现同步串口和异步串口转换的功能

我用CPLD实现同步串口和异步串口转换的功能,主要是用于DSP的MCBSP和UART的转换。以下是我的设计想法大家给一些意见。我用6个IO口做MCBSP的输入和输出,2个IO口做UART的TX和DX。MCBSP的输入口为BCLKR BDR BFSR和BCLKX BDX BFSX。UART和MCBSP的时钟是不一样的。


所以


问题:在CPLD上要不要外接一个晶振来产生时钟提供给UART发送与接受。同时产生一个时钟提供给和同步串口的匹配的接受时钟。


希望大家多提一些宝贵的意见。我先谢谢各位了。


作者: stone133    时间: 2006-9-2 10:32

UART是不需要依靠时钟来同步的,他是依靠监测起始位来接收数据的,这个检测的工作需要一个时钟,不过这个时钟没有什么相位方面的要求,只要频率比你传送的数据速率高就可以了(可以选16倍的数据速率作为这个时钟的频率,不是16的整数倍也可以)
作者: nut99    时间: 2006-10-28 20:35

cpld可以加晶振,有源的




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0