标题: textio的问题! [打印本页] 作者: long 时间: 2003-9-8 11:16 标题: textio的问题!
我在result.dat文件中写入1001011010010110,然后定义一个变量input为std_logic_vector(15 downto 0),在作textio时,要将文件中的这串数字传给我的变量,可modelsim总是报error,No feasible entries for subprogram read,这是为什么阿?
file input1:text open read_mode is "result.dat";
variable dline:line;
variable inputer: std_logic_vector(15 downto 0);
BEGIN
case presentstate is
when "001" => readline(input1,dline);
read(dline,inputer);
input <=inputer;
when others => input<="0000000000000000";作者: ljp 时间: 2003-9-8 11:16
If you have Modelsim, it comes with the source code of all the ieee, std libraries.
For example, if you installed Modelsim on your C: drive, the source code can be found at:
C:\Modeltech_5.5f\vhdl_src
If you don't have modelsim, I can email the package to you.
The libraries are very well written.??I personally learned a lot from reading the source code of the textio package.