Board logo

标题: 还是双向口问题?请叫大侠 ,郁闷啊? [打印本页]

作者: TouchSky    时间: 2006-9-9 18:56     标题: 还是双向口问题?请叫大侠 ,郁闷啊?

请问: 双向口:一个控制信号 EN ,如果 EN = '1',则 A <=B;


                              如果 EN = '0',则  B<=A;


该怎么设计,怎么仿真不了,郁闷啊~~>?


 


 


作者: stone133    时间: 2006-9-11 08:54

A,B楼主是如何定义的?
作者: TouchSky    时间: 2006-9-12 09:51

A, B : INOUT STD_LOGIC;

这样定义的,可是用图形工具设计也不行,
作者: TouchSky    时间: 2006-9-12 10:44

怎么上传不了图片啊~~?郁闷~~?
设计图如下:
http://www.icembed.com/bbs/dispbbs.asp?boardID=74&ID=1053&page=1
作者: rouder21control    时间: 2006-9-12 11:24

把整个程序贴上来

作者: TouchSky    时间: 2006-9-12 17:30

--Write by Zhu Pu.
--2006/5/6

library IEEE;
use IEEE.STD_LOGIC_1164.all;


entity IOSwitch is
port(
A : inout STD_LOGIC;
D : in STD_LOGIC;
Q : out STD_LOGIC;
EN : in STD_LOGIC
);
end IOSwitch;

--}} End of automatically maintained section

architecture IOSwitch of IOSwitch is

signal EN1, EN2 : STD_LOGIC;
signal S1 : STD_LOGIC;

COMPONENT TRIO

PORT
(
A : in STD_LOGIC;
B : out STD_LOGIC;
EN : in STD_LOGIC
);
END COMPONENT;


begin
-- enter your statements here --

EN1 <= NOT EN;
EN2 <= EN;
A <= S1;

TRIO_1 : TRIO
PORT MAP
(
A => D,
B => S1,
EN => EN1
);
TRIO_2 : TRIO
PORT MAP
(
A => A,
B => Q,
EN => EN2
);

end IOSwitch;

作者: stone133    时间: 2006-9-13 19:54

你的那个.gdf文件是可以仿真的,但是你要注意a,b作为输入的时候和en的关系;
这个论坛上就有关于双向口设计的文章,楼主搜索一下;




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0