Board logo

标题: 关于状态机的问题 [打印本页]

作者: kuxi4969    时间: 2006-10-24 10:26     标题: 关于状态机的问题

mealy型和moore型的状态机的不同点是:前者是输出不仅取决于当前状态还于输入有关;后者只与当前状态有关。 但是我在verilogHDL中不知道怎么看出来它们的区别?请高手能举两个例子!! 谢谢1!
作者: stone133    时间: 2006-10-24 10:27

他们两个的区别很小,如果状态机的输出是现态和所有输入的函数,那么它就是mealy型的;
在实际使用中也是这样,需要的时候把输出加入输入的控制就可以了;
作者: stone133    时间: 2006-10-24 10:27

楼主可以到 Xilinx 技术论坛 看看,里面有vincent发的一个状态机下载帖子,那个东西不错
作者: admin    时间: 2006-10-24 10:32

http://bbs.chinaecnet.com/dispbbs.asp?boardID=2&RootID=66126&ID=66126
就是这个帖子,楼主去看看,问题解决的话,最好告诉我们一声!
作者: kzw    时间: 2006-10-24 20:26

参照最最标准的状态机写法,也就是三段式,最容易看出来。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0