Board logo

标题: 请问大侠如何在maxplusII中使用功能库和IP核?? [打印本页]

作者: qin    时间: 2003-9-16 10:07     标题: 请问大侠如何在maxplusII中使用功能库和IP核??

我的mazplusII中没有 MegaWizard Plug-In Manager,请问是不是缺少专门的软件支持,如果是的又如何去获取。                       
多谢指教!
作者: ljp    时间: 2003-9-16 10:07

我也是初学者,不知道什么是功能库和ip核。也不知道megawizard plug-in manager,我这里有一个使用lpm库中乘法器的模块的例子,不知道有没有用处。wind-rain大侠帮了我,我也贡献自己的一分力量吧。               
        library ieee;               
        use ieee.std_logic_1164.all;               
        use ieee.std_logic_unsigned.all;               
        library lpm;               
        use lpm.lpm_components.all               
        use work.all;               
                       
        entity mult8x8 is               
         port(               
dataa,datab: in std_logic_vector(7 downto 0);               
         clk: in std_logic;               
multclr: in std_logic;               
         datap: out std_logic_vector(15 downto 0);               
        );               
        end mult8x8;               
                       
        architecture lpm of mult8x8 is               
          begin               
                 epstein:??component lpm_mult               
                        generic map(lpm_widtha=>8,               
                                lpm_widthb=>8,               
                                lpm_widthp=>8,               
                                lpm_widths=>8,               
                                lpm_representation=>signed,               
                                lpm_pipeline=>3               
)               
         port map(dataa => dataa;               
        datab => datab;               
        clk => clock;               
        multclr => aclr;               
        datap => result;               
        );               
        end lpm;




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0