Board logo

标题: 求教 [打印本页]

作者: reporter    时间: 2013-9-22 10:38     标题: 求教

碰到一个问题是ePWM触发ADC转换的,TI的例子有一个EPWM周期确发两个不同端口ADC转换,而我现在需要触发10个以上单端口转换,而且转换是周期性的取样,需要在下个ePWM触发时,转换完成
请问一下寄存器要如何设置,能提供个思路吗?




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0