Board logo

标题: 有谁用FPGA实现过这个功能吗?(进来看看) [打印本页]

作者: yytiancai    时间: 2006-12-12 10:51     标题: 有谁用FPGA实现过这个功能吗?(进来看看)

有谁实现过随机采样中时间的排序问题(用以恢复波形以达到几G的等效采样率)?或者相关的研究?

[此贴子已经被作者于2006-12-12 10:54:22编辑过]


作者: stone133    时间: 2006-12-12 20:00

能说详细点吗?几G的采样率?太高了点吧?
作者: chen.lsi    时间: 2007-1-16 15:01

xilinx公司有相关的实现app,你可以到www.xilinx.com上面找一找




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0