Board logo

标题: 利用键盘控制数码管进行十六进制数字显示 [打印本页]

作者: luoxuecanyang    时间: 2006-12-13 12:24     标题: 利用键盘控制数码管进行十六进制数字显示

用VHDL语言设计:利用键盘控制数码管进行十六进制数字显示 ,哪位高人会啊,指点以下,给个大体思路,谢谢了!
作者: stone133    时间: 2006-12-16 18:18

数码管是由7段组成的:a,b,c,d,e,f,g.他们排列成一个8字,你只要控制某几个亮,某几个不亮,就会得到一个图形,显示出来就是一个数字或者一个字母了;

你要做的的就是告诉芯片:显示你想要数字的时候需要谁亮就可以了






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0