Board logo

标题: 基础知识:ASIC 和FPGA 深度比较 [打印本页]

作者: pengpengpang    时间: 2014-3-20 22:52     标题: 基础知识:ASIC 和FPGA 深度比较

ASIC 和 FPGA 具有不同的价值主张,选择其中之一之前,一定要对其进行仔细评估。2种技术的比较信息非常丰富。这里介绍了ASIC和FPGA的优势与劣势。
FPGA 和 ASIC 的设计优势比较
FPGA 的设计优势ASIC 的设计优势
更快的面市时间 - 无需布线、掩模和其它制造步骤。全定制性能 - 实现设计,因为器件满足设计技术要求。
无 NRE(临时花费) - 与 ASIC 设计有关的成本降低单位成本 - 用于实现大批量设计
缩短了设计周期 - 由于软件可以处理很多布线、布局和实现问题。小型化 - 器件满足设计技术要求。
更加可预测的项目周期 - 由于消除了可能的 re-spin、晶圆容量等。较高的内部时钟速度
现场可重编程能力 - 可以远程上传的新比特流。
过去 FPGA 用于速度/复杂度/容量较低的设计,而今天的 FPGA 则可以轻松突破 500 MHz 的性能障碍。FPGA 能够以更低的价格实现无可比拟的逻辑密度增加和一大批其它特性(如嵌入式处理器、DSP 模块、时钟技术和高速串行),现已几乎成为任何设计的首选。
FPGA 和 ASIC 的设计流程对比
FPGA 设计流程消除了复杂的而又耗时的平面布局、布局和布线、时序分析和掩模/项目的 re-spin 阶段,因为设计逻辑已经被综合到通过验证的、特色 FPGA 器件上了。

然而,必要时,Xilinx 还能够提供先进的平面布局、层次化设计和时序工具,使用户能够将要求FPGA 和 ASIC 的设计流程对比最苛刻的设计的性能最大化。




欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0