Board logo

标题: 高手进来帮我 [打印本页]

作者: shashama00    时间: 2007-1-4 10:46     标题: 高手进来帮我

 用VerilogHDL语言设计数字密码锁。谁会啊,帮帮我啊
作者: 一切从零开始    时间: 2007-1-8 00:21

能说一下具体实现功能,要求吗
作者: david_fu    时间: 2007-1-9 11:16

看看这个程序可行

module codelock(
   indata,         // 密码锁输入数字
   set,            // 重新设置密码
   outdata         // 开关控制信号
);
parameter IN_MSB = 5;   // 假定密码锁位数为6

input[IN_MSB:0] indata;
input           set;
output          outdata;

wire[IN_MSB:0] rightkey;

// 只有在密码锁开启状态下可以重新设置密码
assign rightkey = (outdata==1&&set==1) ? indata : rightkey; 

// 当输入数字与当前设置密码匹配时输出开关控制信号
assign outdata = (indata == rightkey) ? 1 : 0;

endmodule






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0