Board logo

标题: [求助]用vhdl写控制程序 [打印本页]

作者: anny1209    时间: 2007-1-5 09:01     标题: [求助]用vhdl写控制程序

来一个clk   cpld将写数据到指定的芯片管脚中,再来一个clk从该芯片的刚才输入管脚中读出数据到cpld刚才写出数据的管脚上,应该怎么写呢?请高手指点!谢谢!
作者: 一切从零开始    时间: 2007-1-6 00:35

?没看明白你的意思

我的理解是第一个时钟准备,第二个时钟数据传输?

process(clk)

variable valid:std_logic:='0';

begin

if(clk'event and clk='0')then

if(valid='0')then

   valid:='1';

else

   dout<=din;

   valid:='0';

end if;

end if;

end process;


作者: anny1209    时间: 2007-1-8 17:40

谢谢楼上的回答,但是,不是这个意思的。我的意思是说:用同一个口!前面一个clk在此口输出控制数据,控制芯片;后面一个clk在这个口输入数据(也就是从该芯片刚才输入数据的端口再读数据),怎么做呢?要把这个口做成双向端口,怎么做呢?[em04]
作者: caopengly    时间: 2007-1-8 21:43

建议你看一下vhdl中的状态机,再vhdl中对时序的处理一般是通过状态机来实现的。即一个状态作什么,下一个状态。。。。

你这里状态间的切换用clk来实现。

双向口用inout类型,要读数时先设为高阻态'Z'


作者: anny1209    时间: 2007-1-9 15:59

谢谢樓上的回答






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0