Board logo

标题: 我想用CPLD 做个如下的功能(请大虾指教) [打印本页]

作者: xiao_long    时间: 2007-1-12 22:32     标题: 我想用CPLD 做个如下的功能(请大虾指教)

我想用CPLD 做个如下的功能(请大虾指教) :
          24BIT数据输入,在时钟上升延时分三次8BIT 送出,在送出时有一个时钟一起送出
作者: Santas    时间: 2007-1-12 23:51

串行24bit还是并行?

串行的话,计数+串并转换

并行的话,分频+并串并

基本想法这样。试试看


作者: xiao_long    时间: 2007-1-12 23:53

是并行的,我写一个就是编译过不了呀


作者: xiao_long    时间: 2007-1-12 23:59     标题: 源程序

HWziAOrt.rar (16.13 KB)


附件: [我想用CPLD 做个如下的功能(请大虾指教)] HWziAOrt.rar (2007-1-12 23:59, 16.13 KB) / 下载次数 92
http://bbs.eccn.com/attachment.php?aid=756&k=ee72f5b43724278239a46bc8587b48d8&t=1718502602&sid=W8y3Cg
作者: xiao_long    时间: 2007-1-14 21:08

module RGB_CONN(R_IN,G_IN,B_IN,CLK,CLK_OUT,RGB_OUT);

 input [7:0]R_IN;
 input [7:0]G_IN;
 input [7:0]B_IN;
 output [7:0]RGB_OUT;
 input CLK;
 output CLK_OUT;

 reg  [7:0]RGB_OUT;
 reg  CLK_OUT;
 //reg  DATA_BUF;
 //integer  I;
 parameter STEP=20;
 
    always @ (posedge CLK)
      begin
        
   
       
           RGB_OUT=R_IN;
                   CLK_OUT=1;
        #(STEP)  CLK_OUT=0;
      
      
    
      
           RGB_OUT=G_IN;  
           CLK_OUT=1;
           #(STEP) CLK_OUT=0;
      
    
   
   
      
       RGB_OUT=B_IN;
       CLK_OUT=1;
       #(STEP)  CLK_OUT=0;
     
      
     
     
  end
endmodule
编译通不过呀


作者: xiao_long    时间: 2007-1-14 21:08

NET R_IN<0> LOC= P100;
NET R_IN<1> LOC =P101;
NET R_IN<2> LOC =P102;
NET R_IN<3> LOC= P103;
NET R_IN<4> LOC= P104;
NET R_IN<5> LOC= P105;
NET R_IN<6> LOC= P106;
NET R_IN<7> LOC= P107;
//-------------------------
NET G_IN<0> LOC= P20;
NET G_IN<1> LOC= P21;
NET G_IN<2> LOC= P22;
NET G_IN<3> LOC= P23;
NET G_IN<4> LOC= P24;
NET G_IN<5> LOC= P25;
NET G_IN<6> LOC= P26;
NET G_IN<7> LOC= P27;
//-----------------------------
NET B_IN<0> LOC= P130;
NET B_IN<1> LOC= P131;
NET B_IN<2> LOC= P132;
NET B_IN<3> LOC= P133;
NET B_IN<4> LOC= P134;
NET B_IN<5> LOC= P135;
NET B_IN<6> LOC= P136;
NET B_IN<7> LOC= P137;
//--------------------------
NET RGB_OUT<0> LOC =P10;
NET RGB_OUT<1> LOC =P11;
NET RGB_OUT<2> LOC =P12;
NET RGB_OUT<3> LOC= P13;
NET RGB_OUT<4> LOC =P14;
NET RGB_OUT<5> LOC =P15;
NET RGB_OUT<6> LOC =P16;
NET RGB_OUT<7> LOC =P17;
//-------------------------------
NET CLK  LOC=P30;
NET CLK_OUT LOC=P31;
作者: xiao_long    时间: 2007-1-14 21:09

哪位大虾指教一下,QQ:125912696
作者: luoyue    时间: 2007-1-15 21:09






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0