Board logo

标题: [求助]Quartus2 6.0破解问题 [打印本页]

作者: cybaster    时间: 2007-1-13 14:56     标题: [求助]Quartus2 6.0破解问题

破解后可以编译project但综合报错,显示当前device无license,在setting-device中更换各种器件都无法综合,有没有人遇到此类问题?如何破解?
作者: chubing    时间: 2007-1-13 19:50

  cybaster 

  可能是你没破解好,

  首先是sys_cpt.dll的覆盖,这个应该没错吧

  然后是在破解licence中把 HOSTID=112233445566 ,把这串数字替换成你的网卡号,

  网卡号查看:本地连接—〉支持—〉详细信息—〉实际地址,

  用实际地址那一串数字把licence中上面彩色的全部替换掉就可以了,这样你再导入试试

  good luck!






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0