Board logo

标题: 求助 [打印本页]

作者: hkbxs    时间: 2007-1-14 22:57     标题: 求助

我在调试一块CPLD时出现了一个现象,百思不得其解,特求助各位大侠。现象如下:

我使用的CPLD是EPM7128s,通过ISA总线与工控机相连,控制外部继电器。输出端口最初为0,输入正确的地址和数据后,可以被置1,但却不能够还原为0,直至计算机断电关机。程序为一个D触发器。


作者: caopengly    时间: 2007-1-16 13:06

你在输入口输入0,再去个clk没有,请说详细些。






欢迎光临 电子技术论坛_中国专业的电子工程师学习交流社区-中电网技术论坛 (http://bbs.eccn.com/) Powered by Discuz! 7.0.0